Video Processing with Vivado VHDL and ZYBO Board (II)